SEMICON Europa 2022

您所在的位置:网站首页 ad c-ats jp SEMICON Europa 2022

SEMICON Europa 2022

2023-12-19 14:08| 来源: 网络整理| 查看: 265

https://r744.com/japanese-firm-aims-to-convert-european-semiconductor-industry-to-co2-chillers/

1 e1637742860282

Precise temperature control is critically important in the semiconductor manufacturing industry. But so far, the majority of chillers employed in this process have used f-gas refrigerants.

This is now changing due to increasingly strict environmental regulations. One Japanese company, ATS (Advanced Thermal Sciences) Japan, is taking advantage by providing the semiconductor industry with the first chillers that use CO2 (R744) as a refrigerant.

ATS Japan, a division of U.S.-based chiller manufacturer ATS, in 2015 decided to begin moving towards the adoption of CO2 as a refrigerant for its semiconductor chillers. In 2019, the company began conducting field trials in Europe of its Model GX-20 chiller, which uses CO2, as previously reported in Accelerate24.news. The following is an exclusive interview with Tomoyuki Kariya, Development Department Manager for ATS Japan, conducted recently by AccelerateJapan.com.

AccelerateJapan, R744.com and Accelerate24.news are all published by ATMOsphere.  

New chiller models

Accelerate Japan: When we interviewed you in 2019, you were conducting the initial field trials for your first CO2 chiller model, the GX-20 at a company in Germany. What has happened since then?

Tomoyuki Kariya: The GX-20 has successfully passed its test run and is now being sold as a mass production machine. As of now (October 2021), we have sold a total of 70 units. In addition to that, we have 85 units on order waiting to be manufactured and delivered.

Almost all deliveries have been to the European market. The operation conditions are very good, and the system has been running trouble-free since the first field trials. Although we are struggling in the Japanese market due to the high initial costs, we have just delivered one unit to a local customer this year after receiving an inquiry from them.

We are now preparing to introduce two new models to the market. The first is the GX-100, which we have been developing to meet the needs of end users requesting a higher power model, and we have upgraded the GX-20 from a 2HP model to a 10HP model.

Just this past October, the [upgraded] GX-20 completed the SEMI safety review process to meet semiconductor  industry standards, and will be released to the European market this month. As described in the initial development stages of the GX-20 in 2019, the unit uses a CO2 compressor provided by Panasonic.

In addition, another new model, the GX-2020, is scheduled to be released this month after undergoing the SEMI safety review process. The GX-2020 is a dual chiller that contains two 2HP modules of the GX-20. This was developed in response to demand we are seeing for a compact, two-loop brine system with as small a footprint as possible.

Advanced Thermal Sciences GX-2020 CO2 Semiconductor Chiller Advanced Thermal Sciences GX-2020 CO2 Semiconductor Chiller

We are planning to finish operational testing this month, and we expect to start mass production of both new models [the GX-100 and the GX-2020] starting in March and April of 2022.

Support from component manufacturers

Accelerate Japan: Now that you have three CO2 chiller models, what have been the biggest challenges and successes of the development process?

Tomoyuki Kariya: We have been supported throughout the entire development process by the excellence of Japanese component manufacturers, and we have always been fascinated by their attitudes toward manufacturing.

Semiconductor chillers are usually installed in expensive clean rooms, and customers do not always provide enough space for installation. The GX-2020 and GX-100 were designed with a small footprint in mind because the industry requires it.

It is not easy to overcome the “component miniaturization” barrier associated with a small footprint and likewise to do so while increasing component capacity. However, the suppliers who supported us overcame their respective challenges and quickly responded to our needs and requirements.

Panasonic continues to support us with the heart of the system – the compressor. Nichiden Kogyo, for the valve-related parts, and Nichirei Industries, for the special oil separator, have both developed very impressive and innovative products. Without these suppliers, the “ATS CO2 Chiller” series would not have been completed. We can’t thank them enough.

Clear advantage over HFC chillers

Accelerate Japan: What are the advantages of the CO2 chillers compared to conventional f-gas based chillers?

Tomoyuki Kariya: Naturally, the CO2 chillers are designed to be environmentally friendly with a low GWP. The CO2 chiller is also superior in terms of energy efficiency, saving about 20% on energy compared to the second-generation MX-20 (which used R507A) that we used to offer.

In Europe, due to f-gas regulations, if a Japanese f-gas chiller is to be used, it is necessary to purchase and re-fill the refrigerant in Europe separately from the manufacturing process in Japan and then have it inspected again. This cost is extremely high.

In addition, some customers voluntarily conduct leakage inspections of refrigerant four times a year for all f-gas machines, regardless of the size of the installed compressor, and thus incur additional operational and management costs.

In contrast, with the CO2 chillers, there is no hassle at all after purchase, and the chiller can be connected to the power supply and used immediately. This point is appreciated in the European market.

We also paid attention to the design of the chiller to meet the demand for replacement of conventional f-gas chillers. In fact, compared to the previous generation MX-20, the GX-20 is about 8% smaller in size. This means that the CO2 chiller can be installed in the same space where the f-gas chiller was installed.

In addition to the space-saving and energy-saving design, the cooling capacity must also remain unchanged. The GX-20 can handle a temperature range of -20°C (-4°F) to 70°C (158°F). By clearing these hurdles one by one, we were able to come this far.

“Our future goal is to convert the entire European market to CO2 chillers with our products”

Tomoyuki Kariya, Development Department Manager, ATS Japan Towards CO2 in the European Market

Accelerate Japan: What is your strategy going forward in the European market?

Tomoyuki Kariya: This is a replacement for the f-gas chillers that are still in operation in the European market.

IC (integrated circuit) chips are made of wafers, which are thin circular plates made of semiconductor crystals. The larger the diameter of the wafer, the larger the number of chips that can be produced from a single wafer. 200mm (7.9in) wafers were used in the early 1990s, and 300mm (11.8in) wafers have been widely used since the 2000s.

Currently, semiconductor manufacturing equipment for 200mm wafers is still in use in the European market, and semiconductor chillers, which are essential for the manufacturing of such equipment, are still in use, even though they are more than 20 years old. Almost all of the refrigerants in these devices are R404A and R507A. As they age, the risk of refrigerant leakage cannot be ignored.

No one is likely to know the current number of these f-gas chillers. However, our three CO2 chillers have a system configuration that can handle either 200mm or 300mm wafers.

Our future goal is to convert the entire European market to CO2 chillers with our products.



【本文地址】


今日新闻


推荐新闻


CopyRight 2018-2019 办公设备维修网 版权所有 豫ICP备15022753号-3